use 1 Hz clock for visible walking

This commit is contained in:
2020-10-25 21:38:28 -05:00
parent 4e192d5d70
commit 3a9c0343c1
2 changed files with 25 additions and 7 deletions

View File

@@ -5,7 +5,25 @@ module clk_gen(
output wire o_clk output wire o_clk
); );
assign o_clk = i_clk; // assign o_clk = i_clk;
reg [31:0] counter;
reg buf_clk;
parameter CLK_RATE_HZ = 12_000_000;
initial begin
counter = 0;
buf_clk = 0;
end
assign o_clk = buf_clk;
always @(posedge i_clk) begin
if (counter >= CLK_RATE_HZ/2 - 1) begin
counter <= 0;
buf_clk <= ~buf_clk;
end
else
counter <= counter + 1;
end
endmodule endmodule
// Local Variables: // Local Variables:

View File

@@ -8,11 +8,11 @@ module top(i_clk, o_led, o_led_row_0, i_request, o_busy);
input wire i_request; input wire i_request;
output wire o_busy; output wire o_busy;
wire clk_12MHz; wire clk_1Hz;
clk_gen clk_gen_0 (/*autoinst*/ clk_gen clk_gen_0 (/*autoinst*/
// Outputs // Outputs
.o_clk (clk_12MHz), .o_clk (clk_1Hz),
// Inputs // Inputs
.i_clk (i_clk)); .i_clk (i_clk));
@@ -34,21 +34,21 @@ module top(i_clk, o_led, o_led_row_0, i_request, o_busy);
busy_buf = 0; busy_buf = 0;
end end
always @(posedge clk_12MHz) begin always @(posedge clk_1Hz) begin
if (!busy_buf && req_buf) if (!busy_buf && req_buf)
busy_buf <= 1; busy_buf <= 1;
else else
busy_buf <= (state != 4'h0); busy_buf <= (state != 4'h0);
end end
// counter and strobe run only during busy signal is High // counter and strobe run only during busy signal is High
always @(posedge clk_12MHz) begin always @(posedge clk_1Hz) begin
if (busy_buf) if (busy_buf)
counter <= counter + 1'b1; counter <= counter + 1'b1;
else else
counter <= 0; counter <= 0;
end end
always @(posedge clk_12MHz) begin always @(posedge clk_1Hz) begin
if (!busy_buf && req_buf) if (!busy_buf && req_buf)
state <= 4'h1; state <= 4'h1;
else if (state >= 4'hB) else if (state >= 4'hB)
@@ -58,7 +58,7 @@ module top(i_clk, o_led, o_led_row_0, i_request, o_busy);
end end
// fsm for led_buf // fsm for led_buf
always @(posedge clk_12MHz) begin always @(posedge clk_1Hz) begin
case (state) case (state)
4'h1: led_buf <= 6'b00_0001; 4'h1: led_buf <= 6'b00_0001;
4'h2: led_buf <= 6'b00_0010; 4'h2: led_buf <= 6'b00_0010;